查看: 12210|回复: 0
收起左侧

小芯片撬动大市场,国产FPGA乘风破浪正当时

[复制链接]

  离线 

  • TA的每日心情
    慵懒
    2021-7-23 17:16
  • 签到天数: 17 天

    [LV.4]

    发表于 2021-9-10 19:08:52 | 显示全部楼层 |阅读模式

    有人预言,RISC-V或将是继Intel和Arm之后的第三大主流处理器体系。欢迎访问全球首家只专注于RISC-V单片机行业应用的中文网站

    您需要 登录 才可以下载或查看,没有帐号?立即注册

    x
    本帖最后由 草帽王子 于 2021-9-10 19:08 编辑

    FPGA(Field Programmable Gate Array,现场可编程门阵列)芯片,是在PAL(可编程逻辑阵列)、GAL(通用阵列逻辑)、CPLD(复杂可编程逻辑器件)等传统逻辑电路和门阵列的基础上发展起来的半定制芯片,具有现场可编程性,既解决了半定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

    与CPU、GPU、ASIC 等芯片相比,核心区别在于其底层逻辑运算单元的连线及逻辑布局未固化,适用于底层算法需要持续更迭的运算领域,具有灵活、可快速开发、延迟低等优点。特别适用于物理运算逻辑需要持续更迭的应用中,广泛用于视频图像处理、通信、嵌入式系统等行业。受5G渗透率提升、AI智能化推进以及汽车自动化等趋势的不断推进,FPGA市场需求强劲。

    据 Market Research Future 数据,2018年全球FPGA市场规模为63.35亿美元,预计2025 年将增长至125.21亿美元,2018-2025年平均复合增长率为10.22%。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(1)
    图片全球FPGA市场规模(亿美元)
    来源 | 国信证券经济研究所

    中国FPGA芯片市场规模在持续上升,从2016年的65.5亿元增长至2020年的150.3亿元,年复合增长率达23.1%。据预测,至2025年中国FPGA市场规模将进一步提升至 332.2 亿元,2021-2025年复合增长率为17.1%,高于全球FPGA市场的10.85%。在国产化趋势及市场强劲需求下,国产FPGA市场成长可期。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(2)
    图片中国 FPGA 市场规模(亿元)
    来源 | 国信证券经济研究所

    一、国内FPGA厂商概况

    统计了当前国内主要FPGA厂商基本情况,如下:
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(3)
    国内主要FPGA厂商概况

    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(4)
    国内FPGA厂商地区分布情况

    从城市分布来看,上海3家、广东3家、北京1家、西安1家、成都1家、苏州1家。对比2020年中国IC设计业规模最大的十个城市(按IC销售额排名:深圳、上海、北京、杭州、无锡、西安、南京、武汉、珠海、苏州),以及设计公司增速最高的十个城市(重庆、南京、杭州、苏州、西安、上海、长沙、天津、武汉、无锡),FPGA企业主要分布于IC销售额排名前列及增速较高地区,和设计产业规模集中度存在较高关系。


    二、国产FPGA现状和挑战

    多年来,全球FPGA市场处于格局固化状态,全球前四大FPGA厂商Xilinx、Intel、Microchip、Lattice占据绝对地位。以下是天风证券研究所整理的2019年全球和中国市场的FPGA市场占有率,以及专利对比情况,可以看出,国内FPGA厂商与国际领先水平悬殊较大。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(5)
    2019年全球FPGA市占率(左);2019年国内FPGA市占率(右)

    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(6)
    FPGA 厂商专利数对比(个)

    当前,我国发展FPGA主要面临技术、市场和生态等多方面挑战。

    2010年,赛灵思和Altera就推出了28nm工艺的FPGA工程样片。而目前,国内大部分企业还在28nm的研发中。紫光同创在2020年3月推出了28nm CMOS工艺的Logos-2系列首款FPGA芯片PG2L100H,密度为100K。从这个时间节点来看,国内FPGA与国际领先水平相比,大约有十年的时间差。

    不过,参照全球前四大FPGA厂商Lattice,也是在2019年底才发布其全新的28nm产品,并且,截止目前为止,国际厂商赛灵思的28nm产品仍然在全球被很多客户使用。据推断,28nm FPGA产品至少还有7-8年以上的生命周期,这也给国产FPGA产品攻坚留有了一定的窗口期。但同时也须注意,工艺升级带来的硬件性能提升还要在实际应用中验证,软件和IP也还有很长的路要走。

    在国际FPGA巨头的布局中,软件一直是发展的重点。对于国内FPGA厂商来说,软件是亟待突破的一大瓶颈,这对企业的综合能力是一大挑战。在开发新产品的同时,还需开发软件工具、不断维护IP和生态系统,对技术和资金储备较弱的厂商来说难度较大。

    在市场应用方面,国产FPGA也面临商业模式和生态上的痛点,关键在于如何迅速切入现存长尾市场中的高量细分市场。并且,需要围绕FPGA的编程软件、IP库、EDA等关键环节,补齐短板,完善生态构建。

    赛迪智库指出,当前全球FPGA市场被国际巨头垄断,国内厂商核心技术差距较大,在仿真器、集成度、核心IP(DSP、SerDes、ADC)、片上网络(NOC)等方面积累很少,仅占4%的市场份额。同时,FPGA设计完成后,还需要将用户程序编译进去的特性,决定了FPGA的产品化和产业化必须“软硬兼施”,注重软件工具的发展。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(7)
    FPGA 厂商三大难题
    来源 | 华西证券研究所


    三、国产FPGA主要应用情况

    我国FPGA市场需求量全球最大,占全球市场的30%以上,市场空间约为100亿人民币,主要应用于数据采集和接口、高性能视频解码等领域。国产FPGA过去多用于中低端市场,以LED控制、点钞机等占据高利润高出货量。伴随5G、AI、自动驾驶、医疗、工业等发展,未来具有较大的增长空间。

    应用案例一:LED控制

    在LED控制系统领域,由于各厂商使用的传输接口类似,主控芯片类似,成本相近,系统性能相近,价格竞争较为激烈。行业需要新的控制架构,以较低成本实现可靠的数据传输、屏幕控制等要求。

    安路科技的EF2 FPGA器件应用在LED显示模组,开发出低成本、可靠、智能的LED显示模组。该模组更薄、数据传输EMI更小、能够实现实时监控状态并回传。基于FPGA的系统和传统的显示模组相比,具备以下特点:  
    • 更高可靠性:灯驱合一,无排线,降低EMI。
    • 更强适应性:AST传输距离和传输速率可变,适应不同点间距屏幕。
    • 更加智能:实时反馈电压,温度,屏幕工作时间,带坏点检测与反馈。
    • 更高性价比:提高系统集成度,简化屏幕生产调试流程。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(8)
    安路科技基于EF2 FPGA的智能LED模组硬件


    应用案例二:点钞机

    在国产化需求推动下,点钞机选用国产FPGA实现快速图像识别、接口对接等功能。多数要求芯片具备小封装、低功耗、高性价比等特点。

    下图为易灵思T4 FPGA在点钞机中的设计框图,用于实现快速图像识别、接口对接等。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(9)
    图片易灵思T4 FPGA点钞机设计框图

    应用案例三:图像处理


    随着信息化技术的不断提升,给予了图像处理更大的应用可行性。从采集端到处理端,各应用行业的需求不断变化。在图像采集和图像处理领域,FPGA被用于保证复杂算法实现和算力要求,同时提升图像传输的实时性,多用于视频采集、图像增强等方面。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(10)
    图片智多晶官网应用示例

    应用案例四:金融数据加速系统

    证券交易领域,行情数据瞬息万变,拥有更低的时延、更快的响应,意味着能精准把握买卖机会和点位。FPGA技术与传统软件系统相比,高性能、低延迟更有助于金融行业应用开发,能实现可预知的超低时延。金融加速卡将FPGA与金融行业进一步深度结合,为证券、期货、基金公司等机构用户提供百纳秒级的金融交易整体解决方案。

    以下是中科亿海微在金融数据加速系统中的应用特点:
    • 大容量的EQ6HL130型可编程逻辑芯片,安全自主可控;
    • 超低时延,穿透延迟小于200ns;
    • 高吞吐量,每秒处理吞吐量大于200万条消息,支持多路行情转发;
    • 支持Level2行情快照实时生成、行情过滤、订阅与补缺;
    • 总功耗≤225W

    应用案例五:工业控制

    PLC作为设备和装置的控制器,除了传统的逻辑控制、顺序控制、运动控制、安全控制功能之外,还承担着工业4.0和智能制造赋予的以下任务:
    • 越来越多的传感器被用来监控环境、设备的健康状态和生产过程的各类参数,这些工业大数据的有效采集,迫使PLC的I/O由集中安装在机架上,必须转型为分布式I/O。
    • 各类智能部件普遍采用嵌入式PLC,尽可能地在现场完成越来越复杂的控制任务。
    • 应用软件编程的平台化,进一步发展工程设计的自动化和智能化。
    • 大幅提升无缝连通能力,相关的控制参数和设备的状态可直接网络实时传输至上位的各个系统和应用软件,甚至送往云端。

    以下是京微齐力基于M7 FPGA的PLC解决方案,用于实现EtherCAT实时以太网协议。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(11)
    京微齐力基于M7 FPGA的PLC解决方案


    四、算力变革给FPGA带来新机遇

    根据IDC预测,从2018年至2025年,全球每年被创建、采集或复制的数据将增长5倍以上,预计将从2018年的32ZB增至2025年的175ZB,而中国将于2025年以48.6ZB的数据量及27.8%的占比,成为全球最大的数据汇集地。

    一方面,数据量呈现指数级增长;另一方面,数据类型走向多元化,这都催生了基础算力平台的变革。赛迪智库在研报中指出,人工智能等新兴技术对于计算力的加速需求,远远超出了通用计算技术的发展水平。而FPGA的显著优势就是能够根据不同工作负载进行加速,这也使得它在算力变革来临之时,迎来了新的发展机遇。

    从Frost&Sullivan的数据来看,2017-2025年,FPGA下游应用市场规模复合年均增长率从高到低排名,依次是:汽车、数据中心、工业、消费电子、电子通讯。这些领域增长明确,发展空间广阔,将成为未来几年FPGA增长的核心驱动力。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(12)
    FPGA下游应用市场规模
    来源 | Frost&Sullivan

    国产FPGA厂商应抓住新兴领域机遇,解读如下:

    汽车智能化、自动化

    在智能化、联网化、自动化等趋势推动下,汽车电子对FPGA的需求主要来自于ADAS(智能感知系统)和自动驾驶。这些应用都需要对来自多个传感器(具有不同类型的接口、数据速率等)的大量数据进行处理,需要能够满足灵活I/O接口和高数据速率要求的FPGA进行支撑。由于低延迟、更高的吞吐量和一致的计算效率,使得FPGA成为ADAS解决方案的重要组成部分。

    汽车芯片是未来移动解决方案和自动驾驶的关键组成部分,当前已有汽车制造商提出新的混合计算架构需求。这其中一个趋势就是,通过通用处理器、专用硬件加速器和嵌入式FPGA的优化交互,所组成的混合计算体系结构能够高效节能地分配必要的性能需求,再通过软硬件协同设计,得出最优的系统级组成。

    汽车领域目前主要被国际FPGA产品占领。国内FPGA厂商方面,以高云半导体为例,目前已有三款汽车级FPGA芯片,集中在4K和18K逻辑,主要应用场景覆盖智能座舱、360环视系统、智能后视镜、车联网、车载控制系统、安全驾驶等。

    数据中心

    伴随AI而至的海量数据处理需求中,得益于并行性、低延时等优势,FPGA在数据中心主要用作加速处理。FPGA通常会与CPU搭配,起到加速卡的作用,把CPU的部分数据运算卸载至FPGA,部分实时处理/加速定制化的计算由 FPGA 执行。据 Frost & Sullivan 数据显示,2020 年应用于该领域的 FPGA 芯片,在中国销售额将达到5.8亿元,预计2025 年将增长至 12.5 亿元,成长空间广阔。此外,企业级工作负载加速应用将继续驱动FPGA的应用,新的架构正在数据中心产生及应用,这是FPGA所面临的蓝海。

    边缘计算

    计算从云到边缘是当前的确定趋势。以往大量计算都放云端,随着带宽、安全需求以及边缘侧算力的提升,数据的处理方式在产生变化,需要适配不同场景下的计算需求。

    尤其在5G和AI的双轮驱动下,边缘设备的智能程度大幅提高。从边缘计算所处的物理环境来看,需求复杂多样,空间、温度、电源系统等方面往往有诸多限制,对低功耗、小尺寸有一定要求。但与此同时,又要求较高的实时性和计算能力。

    FPGA兼具高计算性能和低延迟优势,低功耗FPGA更适合部署在边缘侧,满足智能系统的数据协同处理、5G通信基础设施中的高带宽信号桥接、以及ADAS系统中的传感器接口桥接等需求。艾瑞咨询在一份边缘计算报告中指出,FPGA能够更好地满足边缘侧对性能、能耗及延迟的要求。对于一直在中低端市场积累的FPGA厂商,边缘计算和他们的特长和目标有所契合,也是未来有望大幅增长的领域。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(13)


    5G通信

    5G通信对基站射频芯片的连接速度、低延时、连接密度、频谱带宽的要求更高,且新增 Massive MIMO(大规模天线整列)技术、云RAN、新的基带和RF架构等5G关键技术,拥有较长的迭代升级过程和较大的技术不确定性。这使得市场初期很难快速推出成熟的 5G ASIC 芯片,从而为FPGA在5G领域的运用提供了较长的时间窗口。FPGA主要用在收发器基带中,由于通道数的增加,计算复杂度增加,所用FPGA的规模也将增加。


    五、国产FPGA发展方向

    在上述主要领域,国产FPGA厂商贴近国内市场的天然优势将进一步释出。但与此同时,市场也对多核异构、高端FPGA提出了更多要求。国内FPGA厂商要抓住新兴应用带来的红利,需要贴近客户、紧跟技术变化、着力提升产品竞争力。国产FPGA面临持续技术升级的挑战,主要体现在以下方面:

    首先,国内FPGA公司正在逐步进入28nm,瞄准中型FPGA市场,竞争将会逐渐激烈。国产FPGA可从两个方向打造差异化优势:一方面集成更多的逻辑单元,提升逻辑密度;另一方面,向专用且兼具灵活性的方向发展,针对特定细分场景进行优化。

    其次,从系统结构来看,更先进工艺、更高速电路结构、复杂异构SoC将是FPGA未来趋势。目前国际主流FPGA芯片公司逐渐形成了在FPGA芯片中加入处理器的技术路线,并形成了可编程系统级芯片这一产物。与传统FPGA芯片不同,系统级芯片的特点是单芯片高度集成了电子信息设备所需的CPU、FPGA、存储接口、I/O 外设接口甚至AI专用引擎等所有模块,单颗芯片可完成应用场景所有功能需求。
    国外芯片技术交流-小芯片撬动大市场,国产FPGA乘风破浪正当时risc-v单片机中文社区(14)
    复旦微电嵌入式可编程器件(PSoC)
    来源 | 复旦微电公告

    根据复旦微电招股书,该公司高额研发主要用于 FPGA 及其系列芯片,FPGA 及其芯片业务研发投入连续多年超过 1.5 亿元,占比超过30%。其中,2018 年投入最高的研发项目为亿门级FPGA芯片,2019、2020 年则为基于FPGA的PSoC 芯片。PSoC可编程片上系统采用集成CPU和FPGA的新型架构,既可以充分利用FPGA的并行处理能力,又可以灵活运用CPU的控制能力。在亿门级FPGA芯片成功实现量产销售后,该公司正在积极布局PSoC赛道,这也将成为其他国内FPGA厂商发展的方向。

    尽管国内厂商在技术水平、成本控制能力、软件易用性等方面都与头部FPGA厂商存在较大 的差距。但随着我国集成电路设计产业在 FPGA 领域不断加大研发投入和人才培养力度,未来,国产FPGA 有望缩小与国际先进水平差距,并在行业整体规模上升与进口替代加速的双轮驱动下,实现业绩和规模的进一步增长。






    上一篇:ARM 高管无惧 RISC-V 处理器:有技术优势
    下一篇:CUDA被移植,基于RISC - V的GPU有戏了?
    RISCV作者优文
    全球首家只专注于RISC-V单片机行业应用的中文网站
    回复

    使用道具 举报

    高级模式
    B Color Image Link Quote Code Smilies

    本版积分规则

    关闭

    RISC-V单片机中文网上一条 /2 下一条



    版权及免责声明|RISC-V单片机中文网 |网站地图

    GMT+8, 2024-4-23 22:52 , Processed in 0.594372 second(s), 50 queries .

    快速回复 返回顶部 返回列表