皋陶 发表于 2020-10-23 19:45:38

Chisel Tutorial(一)——Chisel介绍

本帖最后由 皋陶 于 2020-10-23 19:48 编辑

Chisel是由伯克利大学发布的一种开源硬件构建语言,建立在Scala语言之上,是Scala特定领域语言的一个应用,具有高度参数化的生成器(highly parameterized generators),可以支持高级硬件设计。
其特点如下,部分特点找不到合适的中文表述,暂时没有翻译,哪位童靴有合适的翻译可以及时说说啊。

[*]Hardware construction language (not C to Gates):硬件构建语言


[*]Embedded in the Scala programming language:内嵌于Scale程序设计语言


[*]Algebraic construction and wiring   


[*]Abstract data types and interfaces:抽象的数据类型和接口


[*]Bulk connections:端口的批量连接


[*]Hierarchical + object oriented + functional construction:分层+面向对象+函数构建


[*]Highly parameterizable using metaprogramming in Scala:通过使用Scala的元编程实现高度的参数化


[*]Supports layering of domain specific languages


[*]Sizeable standard library including floating-point units:具有包含浮点单元在内的、可以调整大小的标准库


[*]Multiple clock domains:支持多时钟域


[*]Generates high-speed C++-based cycle-accurate software simulator:能够产生高速的、基于C++的周期精确软件模拟器


[*]Generates low-level Verilog designed to pass on to standard ASIC or FPGA tools:能够产生Verilog设计,从而在标准的ASIC、FPGA工具中使用


[*]Open source on github with modified BSD license:在github上开源,使用的是改进的BSD协议


[*]Complete set of docs:完善的文档


[*]Growing community of adopters:不断增长的社区



页: [1]
查看完整版本: Chisel Tutorial(一)——Chisel介绍