查看: 1551|回复: 0
收起左侧

Chisel Tutorial(一)——Chisel介绍

[复制链接]

  离线 

  • TA的每日心情
    奋斗
    2021-3-3 12:32
  • 签到天数: 10 天

    [LV.3]

    发表于 2020-10-23 19:45:38 | 显示全部楼层 |阅读模式

    有人预言,RISC-V或将是继Intel和Arm之后的第三大主流处理器体系。欢迎访问全球首家只专注于RISC-V单片机行业应用的中文网站

    您需要 登录 才可以下载或查看,没有帐号?立即注册

    x
    本帖最后由 皋陶 于 2020-10-23 19:48 编辑

    Chisel是由伯克利大学发布的一种开源硬件构建语言,建立在Scala语言之上,是Scala特定领域语言的一个应用,具有高度参数化的生成器(highly parameterized generators),可以支持高级硬件设计。


    其特点如下,部分特点找不到合适的中文表述,暂时没有翻译,哪位童靴有合适的翻译可以及时说说啊。


    • Hardware construction language (not C to Gates):硬件构建语言

    • Embedded in the Scala programming language:内嵌于Scale程序设计语言

    • Algebraic construction and wiring   

    • Abstract data types and interfaces:抽象的数据类型和接口

    • Bulk connections:端口的批量连接

    • Hierarchical + object oriented + functional construction:分层+面向对象+函数构建

    • Highly parameterizable using metaprogramming in Scala:通过使用Scala的元编程实现高度的参数化

    • Supports layering of domain specific languages

    • Sizeable standard library including floating-point units:具有包含浮点单元在内的、可以调整大小的标准库

    • Multiple clock domains:支持多时钟域

    • Generates high-speed C++-based cycle-accurate software simulator:能够产生高速的、基于C++的周期精确软件模拟器

    • Generates low-level Verilog designed to pass on to standard ASIC or FPGA tools:能够产生Verilog设计,从而在标准的ASIC、FPGA工具中使用

    • Open source on github with modified BSD license:在github上开源,使用的是改进的BSD协议

    • Complete set of docs:完善的文档

    • Growing community of adopters:不断增长的社区







    上一篇:开源硬件3.0时代降临
    下一篇:53年来国内唯三!清华校友获芯片顶会最佳论文提名
    RISCV作者优文
    全球首家只专注于RISC-V单片机行业应用的中文网站
    回复

    使用道具 举报

    高级模式
    B Color Image Link Quote Code Smilies

    本版积分规则

    关闭

    RISC-V单片机中文网上一条 /2 下一条



    版权及免责声明|RISC-V单片机中文网 |网站地图

    GMT+8, 2024-4-16 22:43 , Processed in 0.531556 second(s), 44 queries .

    快速回复 返回顶部 返回列表