查看: 1935|回复: 2
收起左侧

Windows下verilog仿真环境的搭建

[复制链接]

  离线 

  • TA的每日心情
    奋斗
    2021-1-15 13:53
  • 签到天数: 26 天

    [LV.4]

    发表于 2020-8-18 16:55:57 | 显示全部楼层 |阅读模式

    有人预言,RISC-V或将是继Intel和Arm之后的第三大主流处理器体系。欢迎访问全球首家只专注于RISC-V单片机行业应用的中文网站

    您需要 登录 才可以下载或查看,没有帐号?立即注册

    x
    本帖最后由 新ちゃん 于 2020-8-21 23:42 编辑

    转载:https://blog.csdn.net/a_weiming/article/details/84558710

    2、Windows下仿真环境的搭建2.1 Debussy

    Debussy是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、state bubble diagram之间,即时做trace,协助工程师debug。当然你有Verdi更好,下面是


    Verdi和Debussy的比较:
    (1) Debussy是Windows的版本,Verdi是Linux的版本,Debussy不支持64位Windows;
    (2) Debussy & Verdi都是debug工具,不带仿真功能,仿真生成的vcd、vpd和fsdb都需要仿真工具;
    (3) 使用Debussy & Verdi debug过程中,调入相同层次的波形文件就能debug,不需要跑仿真,不需要换代码;
    (4) Debussy是早期的Windows版本,后期只在Linux中更新软件,即更新Verdi;


    这是我下载Debussy的网址:
    链接: https://pan.baidu.com/s/1MK67gZcDun65t7Jn5P6mhQ 提取码: yp9a


    这是利用Debussy debug代码:


    国内芯片技术交流-Windows下verilog仿真环境的搭建risc-v单片机中文社区(1)


    这是利用Debussy看fsdb波形:


    国内芯片技术交流-Windows下verilog仿真环境的搭建risc-v单片机中文社区(2)


    2.2 Modelsim

    Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。


    Modelsim的具体使用教程可以参考以下网址,我只用到了功能仿真部分,时序仿真我没有涉及:modelsim仿真详细过程(功能仿真与时序仿真)


    这是我下载32位modelsim 10.1的网址:modelsim se 10.1a 下载与破解


    这是利用Modelsim跑仿真的图:


    国内芯片技术交流-Windows下verilog仿真环境的搭建risc-v单片机中文社区(3)

    2.3 Iverilog & GTKWave

    Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the “vvp” command. For synthesis, the compiler generates netlists in the desired format.


    介绍的网址:http://iverilog.icarus.com/
    下载的地址:http://bleyer.org/icarus/


    注意一定要下载windows版本,因为windows版本才有-g2012的选项,该选项是支持system verilog的,若没有-g2012,rocket-chip的代码无法仿真,因为rocket-chip中带有了部分system verilog的语法。我下载的是iverilog-10.0-x86_setup版本。


    GTKWave is a fully featured GTK+ based wave viewer for Unix, Win32, and Mac OSX which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing.


    介绍&下载的网址:http://gtkwave.sourceforge.net/


    GTKWave有专门的user’s guide,有时间的大家可以研究一下。


    运行Iverilog & GTKWave的步骤(cmd中可以自己粘贴windows下的复制):


    1、利用VMwave生成rocket-chip的rtl和test的hex文件(此步以后会详细介绍);

    2、利用cmd进入相应测试的目录;利用cmd进入相应测试的目录;

    3、先运行iverilog,命令如下:先运行iverilog,命令如下:


    iverilog -g2012 -D SYNTHESIS -D RANDOMIZE_REG_INIT -D RANDOMIZE_MEM_INIT -D RANDOMIZE_GARBAGE_ASSIGN -D RANDOMIZE_INVALID_ASSIGN -o rocket_tb rtl/freechips.rocketchip.system.DefaultSmallConfig.v rtl/TestDriver.v rtl/AsyncResetReg.v rtl/plusarg_reader.v rtl/freechips.rocketchip.system.DefaultSmallConfig.behav_srams.v

    选项说明:
    -g2012:指定使用verilog的版本,基本的SystemVerilog语法都支持。
    -D:引入宏定义,如果要运行rocket-chip的仿真,上面的宏定义必须带上,都是初始化的宏。
    -o:输出文件的命名。
    最后的是rtl的输入,要包括路径。


    4、运行仿真,命令如下:


    vvp -n rocket_tb -lxt2

    5、观察波形:


    gtkwave *.vcd

    这是利用Iverilog跑仿真的图:


    国内芯片技术交流-Windows下verilog仿真环境的搭建risc-v单片机中文社区(4)

    这是利用GTKWave看波形的图:


    国内芯片技术交流-Windows下verilog仿真环境的搭建risc-v单片机中文社区(5)
    本篇完,感谢关注:RISC-V单片机中文网




    上一篇:RISC-V & rocket-chip
    下一篇:modelsim se 10.1a 下载与破解
    RISCV作者优文
    全球首家只专注于RISC-V单片机行业应用的中文网站
    回复

    使用道具 举报

      离线 

    该用户从未签到

    发表于 2020-8-19 22:52:59 | 显示全部楼层
    转载的,希望注明出处,谢谢。
    全球首家只专注于RISC-V单片机行业应用的中文网站

      离线 

  • TA的每日心情
    奋斗
    2021-1-15 13:53
  • 签到天数: 26 天

    [LV.4]

     楼主| 发表于 2020-8-19 23:34:11 | 显示全部楼层
    本帖最后由 新ちゃん 于 2020-8-20 07:45 编辑

    转载:https://blog.csdn.net/a_weiming/article/details/84558710
    全球首家只专注于RISC-V单片机行业应用的中文网站
    高级模式
    B Color Image Link Quote Code Smilies

    本版积分规则

    关闭

    RISC-V单片机中文网上一条 /2 下一条



    版权及免责声明|RISC-V单片机中文网 |网站地图

    GMT+8, 2024-4-19 05:01 , Processed in 0.867512 second(s), 54 queries .

    快速回复 返回顶部 返回列表