查看: 1703|回复: 0
收起左侧

2021年全球半导体行业10大技术趋势

[复制链接]

  离线 

  • TA的每日心情
    拍拍
    2022-6-27 11:09
  • 签到天数: 25 天

    [LV.4]

    发表于 2021-3-27 15:29:12 | 显示全部楼层 |阅读模式

    有人预言,RISC-V或将是继Intel和Arm之后的第三大主流处理器体系。欢迎访问全球首家只专注于RISC-V单片机行业应用的中文网站

    您需要 登录 才可以下载或查看,没有帐号?立即注册

    x
    本帖最后由 sky 于 2021-3-27 15:28 编辑

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(1)

    2020年全球新冠疫情的蔓延和中美在半导体领域的冷战升级虽然对全球经济和半导体产业造成了负面影响,但半导体领域的技术进步却没有止步,有些技术甚至加快了市场商用化进程。ASPENCORE全球分析师团队精心挑选出2021年全球半导体行业将出现或凸显的10大技术趋势。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(2)


    一、Arm架构处理器:全面渗透高、中、低性能计算领域

    Arm发布专门针对下一代“始终在线”笔记本电脑的Cortex-A78C CPU,可支持8个“大核”,L3缓存增加到8MB。基于Cortex-A78C的CPU芯片将成为高性能PC市场上x86架构CPU的强有力竞争者,苹果Mac电脑全面采用基于Arm架构的CPU将带动更多Arm阵营芯片设计厂商进军PC市场,包括高通、华为和三星。就连x86阵营的AMD据说也在开发基于Arm的处理器芯片,而亚马逊AWS则在服务器市场驱动Arm架构CPU的增长。在高性能计算(HPC)方面,基于Arm架构的超级计算机“富岳(Fugaku)”赢得全球Top 500超算第一名。

    Arm Cortex-A78系列CPU有针对移动计算、兼顾性能和能效的Cortex-A78;针对汽车市场、强调安全的Cortex-A78AE;以及面向高性能计算的Cortex-A78C内核。除了这三大海量应用市场外,Arm架构处理器在物联网、边缘计算、AI和5G等领域也普遍渗透,成为计算机历史上应用最广泛的微处理器指令集架构(ISA)。截至2019年底,全球累积出货1300亿颗Arm处理器芯片,全世界70%的人口都在使用由Arm处理器驱动的电子设备。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(3)

    图1:Arm Cortex-A78系列CPU及其面向的应用。(来源:Arm)

    经过30年的发展,起源于英国、由12个工程师组成的Arm公司以其独特的IP授权商业模式和低功耗的处理性能垄断了移动设备市场。Arm现已成为拥有6500多名员工,价值400亿美元的IP核开发公司,而且正在带领1000多家合作伙伴全面进入嵌入式系统、IoT、移动、PC和汽车应用领域。如果Arm公司顺利从日本软银转交到英伟达旗下,将会在新兴的数据中心和服务器、自动驾驶,以及人工智能市场成为主导的计算处理架构。无论多年垄断PC市场的x86,还是后起之秀RISC-V,在性能和出货量方面都难以望其项背。


    二、3nm工艺节点:台积电和三星路线差异变大

    自7nm工艺开始,台积电和三星Foundry就出现了比较大的路线演进差异。比如,三星7nm(7LPP)更早采用EUV(极紫外光),并将5nm、4nm作为半代工艺;而台积电继7nm本身的演进(N7/N7P/N7+)之后,5nm亦开始重要的工艺迭代。

    三星在7nm之后的大迭代上,采用更为激进的晶体管结构GAAFET(Gate-All-Around FET)。2019年年中,三星Foundry宣布3nm的PDK进入Alpha阶段(3GAE)。在更具体的结构上,三星选择了纳米片(nanosheets),称作MBCFET(Multi-Bridge Channel FET),不过也仍有可能开发纳米线(nanowires)的GAAFET。三星的数据显示,3nm相比其7nm工艺,性能提升35%,功耗降低50%,面积降低45%。从2020年年中的消息来看,三星3nm试生产已延后至2021年Q1,量产则需等到2022年。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(4)
    图2:三星晶圆代工的工艺节点演进。(来源:三星)

    2020年4月份,台积电首次披露3nm工艺(N3)的具体信息。N3是N5工艺之后的又一次正式迭代,预计晶体管密度提升1.7倍(单元级密度在290MTr/mm²左右),相比N5性能提升至多50%,功耗降低至多30%。台积电N3工艺的风险生产计划在2021年,量产于2022年下半年开始。考虑到成熟性、功耗和成本问题,台积电表示N3仍将采用传统的FinFET结构,不过其3nm工艺本身的步进仍有机会采用GAAFET技术。

    实际上,这两家全球最先进的晶圆代工厂从5nm工艺开始就出现了技术演进的较大差异。三星在大方向的节点演进上,技术更为激进,但台积电在晶体管密度和实际性能/功耗表现上仍有相当优势。


    三、高性能计算:数据中心专用加速的递进

    富士通于2020年3月推出的A64FX作为专门面向HPC(高性能计算)负载的芯片,其本身的结构代表着HPC、数据中心市场的重要趋势。它在数据上做到了超算领域的算力和效率第一,远高于Intel Xeon+Nvidia Tesla+内存的组合,很像是CPU、GPU外加片上高速内存的结合体。不过其整体架构是monolithic的一体融合,省去了CPU与加速处理器之间的chip-to-chip通讯,而且在存储系统方面做了更靠近计算核心的集成,部分类似于特定域的设计。A64FX包含48个内核,每个内核512bit宽度管线,每颗芯片带8GiB HMB2存储。

    英伟达的CUDA编程让其GPU能够广泛应用于HPC领域,英伟达本身也在思考HPC的发展路线。2020年10月,英伟达推出BlueField-2家族DPU(data processing unit)和DOCA软件开发套装——DPU宣称是芯片上的数据中心。简单地说,DPU是面向数据中心,加速特定负载的芯片。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(5)
    图3:英伟达Bluefield-2X卡包括DPU和Ampere GPU。(来源:nVidia)

    除了计算(或AI加速)部分的Ampere GPU(BlueField-2X),在网络、存储和安全方面,BlueField芯片中有可编程的Arm核以及Mellanox Networking适配器(SmartNIC),包括“软件定义的安全”、“软件定义的存储”、“软件定义的网络”和基础设施管理。Mellanox已经归入英伟达旗下,而Arm目前被炒得正热的并购一事,显然在此场景下也变得易于理解。

    在DSA加速器领域,英伟达很早就意识到了数据中心需要专门的处理器,以逐渐颠覆CPU主导的市场,尤其是着力在数据中心安全、网络、存储方面的效率和性能。这类策略本身也足以规避Arm在高性能市场的固有缺陷。这可能也是AMD收购赛灵思的主旨所在,而数据中心加速业务早在前年就已经成为赛灵思的发展重点。

    2020年这几个有关数据中心的市场动作及技术演进方向,足以表明数据中心的专用计算时代正在有序推进。


    四、传感器融合:硬件与算法结合驱动自动驾驶、无人机和工业机器人等自主系统

    在自动驾驶和无人机等复杂应用场景中,多传感器融合(Multi-sensor Fusion, MSF)将来自多个和多种类型传感器的信息和数据,通过高性能处理器和软件算法,以一定的规则进行自动分析和综合,以完成决策和执行。摄像头是应用最为广泛的图像传感器,但在光线不佳的环境中性能表现明显下降。基于飞行时间(ToF)原理的超声波、雷达和激光雷达(LiDAR)等传感器是摄像头的很好补充和增强。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(6)
    图4:自动驾驶应用设计需要很多传感器融合技术。(来源:Synopsys)

    激光雷达每秒发射高达100万个激光脉冲,可以捕获高分辨率3D点云数据,不仅能够识别物体对象,还可以对其进行分类。据市调公司Yole预测,面向ADAS/自动驾驶市场的LiDAR将从2019年的1900万美元增长到2025年的17亿美元,实现114%的年复合增长。但由于激光雷达的设计复杂和成本昂贵,大规模应用仍面临诸多挑战。Luminar于2019年发布了价格不到1000美元的LiDAR解决方案,而2005年首推实时3D LiDAR的Velodyne公司则宣布逐步降价计划,将平均售价从2017年的1.79万美元降至2024年的600美元。中国的LiDAR制造商已经开始生产低于1000美元的产品,正在获得更多市场份额。尽管不被特斯拉的Elon Musk看好,激光雷达仍将成为实现更高级别自动驾驶的关键技术。

    复杂的环境和气候条件要求来自图像、超声波、雷达和激光雷达等传感器源的数据进行交叉参考和计算,这需要具有实时处理性能的AI芯片和深度学习模型算法。只有在系统中融合传感器、芯片和AI算法等多种技术,才能保证自主系统在实际应用场景中的精确和安全操作。除了ADAS/自动驾驶应用外,传感器融合技术在工业机器人和无人机等领域也将得到发展和普及。


    五、芯粒(chiplet):开启芯片设计IP新模式

    自1965年以来,摩尔定律一直是主导半导体行业快速增长的基本定律。随着半导体制造工艺节点从7nm、5nm到3nm推进,逐渐逼近物理极限,芯片设计和制造的成本不断增加,整个半导体行业的发展速度明显放缓下来。领先的半导体制造商开始转向芯粒(chiplet),期望为半导体设计和集成寻求新的解决方案,使半导体行业重返两年翻倍的发展周期。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(7)

    图5:将来的计算机系统可能包含一个CPU芯粒和多个GPU和存储器芯粒,都封装集成在一颗芯片上。(图片来源:AMD)

    芯粒用多个小芯片来代替单个芯片,并将它们封装集成在一起,这样可以在同样的面积上容纳更多的晶体管,而且可以显著提高芯片生产良率。芯粒就好比面向对象的编程,它是一种基于对象概念的思维范式,硬件设计正在发生类似的思维范式转变。但是,芯粒之间需要接口,不仅仅是电气接口,还有可以简化设计、制造与协作的接口。全球行业组织开放计算项目(OCP)正致力于通过引入新的接口、链接层,以及早期概念验证,来定义和开发统一标准的芯粒体系架构。

    据市调机构Omdia最新发布的报告显示,在设计和制造过程中采用“芯粒”的微处理器芯片未来5年会快速增长,到2024年全球市场将达到58亿美元,而2018年只有6.45亿美元。目前,Marvell、AMD、英特尔、台积电等半导体公司都相继发布了Chiplet产品。Chiplet将为半导体产业带来新的机会,比如降低大规模芯片设计的门槛;从IP升级为Chiplet供应商,以提升IP价值,有效降低芯片客户的设计成本;增加多芯片模块(Multi-Chip Module,MCM)业务,Chiplet迭代周期远低于ASIC,可提升晶圆厂和封装厂的产线利用率;建立可互操作的组件、互连、协议和软件生态系统。

    芯原公司的戴伟民博士提出了"IP as a Chiplet "理念,旨在通过Chiplet实现特定功能IP的‘即插即用’,解决7nm、5nm及以下工艺节点中性能与成本的平衡问题,并降低大规模集成电路芯片的设计时间和风险,从SoC中的IP发展到SiP中以Chiplet形式呈现的IP。全球半导体IP市场规模越来越大,预计将从2019年的50亿美元上升至2027年的101亿美元。Fabless模式的演进催生了芯片设计服务产业,半导体IP授权和芯粒(chiplet)的发展将催生更多机会。


    六、系统级封装(SiP):先进封装平台的集大成者

    芯片封装技术的发展大致经历了四个阶段:第一阶段是插孔元件(DIP/PGA);第二阶段是表面贴装(SMT);第三阶段是面积阵列封装(BGA/CSP);第四阶段是高密度系统级封装(SiP)。目前,全球半导体封装的主流技术已经进入第四阶段,SiP、PoP和Hybrid等主要封装技术已大规模应用,部分高端封装技术已开始向芯粒(Chiplet)方向发展。SiP封装正在从单面封装向双面封装转移,预计2021年双面封装SiP将会成为主流,到2022年将会出现多层3D SiP产品。
    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(8)
    图6:Octavo的SiP器件在一个标准BGA封装内集成了MCU、存储器、PMIC、MEMS振荡器和一些无源器件。(来源:Octavo Systems)

    倒装芯片(Flip Chip)和引线键合(Wire-bond)已经在高端和低端芯片的SiP封装、2D/2.5D/3D异构SiP中得到了广泛应用,是目前主要的SiP封装形式。据Yole关于SiP的市场分析报告预测,2019年采用倒装芯片和引线键合形式的SiP封装产品市场规模为122亿美元(占全体SiP封装市场90%以上),预计到2025年将达到171亿美元,2019-2025年复合年增长率为6%;以台积电为主导的扇出(FO)型封装也已经成为SiP的主要封装形式之一,2019年市场规模为11.48亿美元,到2025年将增至13.64亿美元;嵌入式裸片SiP封装正从单裸片嵌入过渡到多裸片嵌入,尽管这种形式的SiP封装产品市场规模很小,但是增长势头强劲(增长率高达27%),预计2025年将超过3.15亿美元。

    移动和消费电子是SiP封装的主要应用市场,其中尤以手机的RF器件为主。随着5G网络的全面部署,5G手机和基站等电信设施将为SiP封装创造新的机会。以苹果Apple Watch和AirPods为主的可穿戴设备因为对体积和尺寸的严格要求而更多采用SiP封装,成为SiP在消费电子领域的主要增长点。SiP的另一驱动力来自MEMS和传感器,包括压力传感器、惯性测量单元、光学MEMS、微测辐射热计、振荡器和环境传感器等,快速增长的应用领域主要包括汽车ADAS/自动驾驶、机器人和物联网等。


    七、宽禁带半导体:在关键领域取代硅基器件

    第三代半导体也称宽禁带半导体,是指禁带宽度大于2.2eV的半导体材料,主要代表是碳化硅(SiC)和氮化镓(GaN)技术。与第一代和第二代半导体相比,具有更高的禁带宽度、高击穿电压、低导通电阻、几乎无开关损耗以及优秀的电导率和热导率等优势,能在效率更高的前提下,将体积大大缩小,在高温、高压、高功率和高频领域有望替代前两代半导体材料。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(9)
    图7:以碳化硅(SiC)和氮化镓(GaN)为代表的宽禁带半导体在新能源汽车、5G通信和轨道交通等领域有着极大的发展潜力。(来源:EETimes)

    此前,阻碍第三代半导体技术普及的最大原因是SiC和GaN衬底成本过高,器件成本比传统硅基产品高5到10倍,但随着产业链对该类器件需求的增加,大英寸衬底技术的成熟和工艺的提升,制造成本已逼近硅基器件。2021年将是第三代半导体器件的关键年,预计电动汽车、工业充电、5G高频器件以及可再生能源和储能领域的电源应用,都能够从宽禁带半导体的发展中受益,尤其是高频高压应用中将大量取代原有的Si IGBT和Si MOSFET。

    此外,由于第三代半导体产品主要使用成熟工艺,在美国持续升级对中国半导体产业技术封锁的大环境下,有望成为产业突破口。所以在政策方面,中国也在2030计划和“十四五”国家研发计划中明确第三代半导体是重要发展方向。


    八、“域架构”理念主导未来汽车开发

    目前汽车业普遍采用扁平化的点对点“分布式电子架构”,也就是通过上百个电子控制单元(ECU)来实现车辆的电子电气功能,再通过相应的汽车总线将相关联的ECU连接在一起。但随着汽车向自动化、互联化、电气化和服务化方向快速发展,传统基于硬件的分布式架构在系统扩展性、软硬件兼容性、安全性和升级便利性方面遭遇了瓶颈,越来越不利于汽车行业快速迭代的要求。未来,汽车底层电子架构会朝着高性能“域架构”的方向发展,联网能力更强,能够提供安全的OTA无线更新,开发效率高,是可升级、可扩展、能够适应未来发展的平台。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(10)
    图8:汽车电子终将朝着高度集成化发展。(来源:MPS)

    因此,与ECU相比,伴随着“域架构”出现的域控制器(DCU)的目标将更专注于集成度、安全性和核心计算。例如通过无人驾驶或传感器融合DCU实现自动化;通过智能座舱DCU实现车对车、车对其他一切的通信以及软件的无线升级;通过动力系统DCU实现插电式混合动力系统到全电池电动汽车的电气化蜕变,都是这一趋势的典型应用。

    DCU引人注目的另一个原因,还在于它引导汽车供应商将研发资金集中在单个的子系统上,而不是十几个以上的不同子装置。这样一来,想要实现复杂而强大的DCU,汽车供应商就不能只依赖大多数现有的成品芯片,而是更倾向于精心设计的集成化器件。


    九、FPGA:数据中心和边缘计算的AI加速器

    自从上世纪80年代Altera和Xilinx开创可编程逻辑器件类型FPGA以来,FPGA已经经历了几波巨大的变化。除了其本身固有的可编程灵活性外,网络连接和数据交换功能使得FPGA成为云计算和数据中心不可或缺的海量数据处理单元,特别是机器学习/AI、网络加速和计算存储等应用对FPGA有着强劲的需求,比如SmartNIC、搜索引擎加速器、AI推理引擎等。新兴的边缘计算将掀起新的一波FPGA需求热潮,包括5G基站和电信基础设施、边缘端网关和路由器,以及IoT智能终端等。自动驾驶、智能工厂、智慧城市和交通等将驱动FPGA应用进一步的增长和扩展。

    据Semico研究公司预测,全球数据中心加速器(包括CPU、GPU、FPGA和ASIC)市场规模将从2018年的28.4亿美元增长到2023年的211.9亿美元,年复合增长率(CAGR)高达50%。其中增长最快的当数FPGA加速器,2018年只有10亿美元,到2023年将超过50亿美元,其增长驱动力主要来自企业级数据负载加速应用。FPGA行业最大的两家厂商Intel和Xilinx都发布了一系列FPGA加速卡,比如英特尔FPGA  PAC D5005,N3000,以及基于Arria 10 GX FPGA 的可编程加速卡;Xilinx Alveo U50/U200/U250/U280 数据中心加速卡。Achronix也推出了基于Speedster7t FPGA的加速卡,以把握数据中心对高带宽工作负载优化的需求。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(11)
    图7:Achronix的VectorPath加速卡可以支持一系列高速数据和存储接口。(来源:Achronix)

    Altera被英特尔收购,Xilinx现在也极有可能归入AMD名下,这说明FPGA始终是一个利基市场,在市场规模方面难以跟CPU和GPU这类通用芯片相比而成为足够强大的独立市场。然而,随着FPGA独有的特性使其成为云计算和边缘计算的首选AI推理加速器,未来几年我们将看到FPGA加速卡出现在更多计算处理单元中。


    十、体征信号监测AFE技术:将VSM系统的“健康监测”植入智能可穿戴设备

    据市调机构IDC发布的最新2020年第三季度数据,全球可穿戴设备总出货量为1.25亿台,同比增长35%。其中,以苹果AirPods为代表的可听戴设备(Hearables)市场出货量约7000万台,以Apple Watch为代表的智能手表(Watch)出货量超过3000万台,以小米腕带为代表的智能手环(Wrist Band)出货量约2000万台。

    全球新冠病毒的蔓延极大地刺激了具有“健康监测”功能的智能可穿戴设备的销售。备受全球用户青睐的Apple Watch提供了丰富的健康医疗管理功能,特别是心率检测。Apple Watch Series6可让用户测量血氧饱和度,从而更好地掌握自己的整体健康状况。新一代的智能可穿戴设备利用高精度模拟前端技术进行人体体征信号监测,为消费者提供更多“健康监测”功能,在未来几年将有显著的市场增长。

    具有生命体征信号监测(VSM)功能的智能可穿戴设备增长最快的细分市场之一。以前,VSM设备主要用于医院、救护车和直升机等专业救助场所,比如床边监视器和重症监护病房的监视器等。这些高端系统支持多导联ECG测量、氧饱和度、体温、二氧化碳以及其他参数的测量。现在,可穿戴式VSM系统正逐渐融入我们的日常生活,让医生能够远程监控病人,让老年人能够独立生活更长的时间。运动和锻炼方面的VSM应用也将成为一种趋势,它不仅能帮助人们监控生命体征参数,还能提供锻炼是否有效的反馈信息。

    SiFive FE310-G000单片机-2021年全球半导体行业10大技术趋势risc-v单片机中文社区(12)
    图8:ADI的可穿戴VSM系统方案及平台工具。(来源:ADI)

    在可穿戴设备中,通常可以测量多个参数,如心率、活动、皮肤阻抗、氧饱和度和体温等。ADI公司开发出一种多模式模拟前端(AFE)芯片,可以直接通过连接生物电位电极来测量心脏信号。它能够测量皮肤电反应,以此来跟踪压力或精神状态。基于这种单芯片AFE方案即可创建多功能、小尺寸且非常节能高效的可穿戴VSM系统。





    上一篇:赛昉科技重磅发布全球首款基于RISC-V人工智能视觉处理平台
    下一篇:单片机心得2
    RISCV作者优文
    全球首家只专注于RISC-V单片机行业应用的中文网站
    回复

    使用道具 举报

    高级模式
    B Color Image Link Quote Code Smilies

    本版积分规则

    关闭

    RISC-V单片机中文网上一条 /2 下一条



    版权及免责声明|RISC-V单片机中文网 |网站地图

    GMT+8, 2024-4-19 18:21 , Processed in 0.767097 second(s), 47 queries .

    快速回复 返回顶部 返回列表